Фотолитография в глубоком ультрафиолете

Материал из Википедии — свободной энциклопедии
(перенаправлено с «EUVL»)
Перейти к навигации Перейти к поиску
Схема работы литографии в EUV.

Фотолитография в глубоком ультрафиолете (Extreme ultraviolet lithography, EUV, EUVL[1] — экстремальная ультрафиолетовая литография[2]) — вид фотолитографии в наноэлектронике. Считается одним из вариантов фотолитографии следующего поколения[en]. Использует свет экстремального[en] ультрафиолетового диапазона с длиной волны около 13,5 нм, т.е. почти рентгеновское излучение. Для создания рисунка используется лазерная импульсная плазма капель олова (Sn), отражающая фотомаска и экспонирование подложки, покрытой фоторезистом. В настоящее время он применяется только в самых передовых полупроводниковых устройствах[уточнить].

По состоянию на 2023 год ASML является единственной компанией, которая производит и продаёт системы EUV для производства микросхем, ориентируясь на технологические нормы 5 и 3 нм.

Механизм формирования изображения в EUV-литографии. EUV многослойная стеклянная прокладка на основе кремния и молибденовые отражатели  Поглотитель  EUV-излучение  Фоторезист  Субстрат  Вторичные электроны Многослойный EUV и поглотитель, образующий маску для изображения линии.
EUV-излучение, отраженное от рисунка маски, поглощается резистом и подложкой, образуя фотоэлектроны и вторичные электроны. Эти электроны увеличивают интенсивность химических реакций в резисте.
На оптическое изображение накладывается случайный по своей природе вторичный электронный рисунок. Нежелательное воздействие вторичных электронов приводит к потере разрешения, наблюдаемой шероховатости края линии и изменению ширины линии.

История[править | править код]

В 1960-х годах для производства интегральных схем использовался видимый свет с длиной волны всего 435 нм ("линия g" ртути). Позже использовался УФ-свет с длиной волны сначала 365 нм ("линия i" ртути), затем эксимерные лазеры длины волн сначала 248 нм (криптонфторидный лазер[en]), а затем 193 нм (аргонфторидный лазер[en]), что было названо глубоким УФ.

Следующий шаг миниатюризации получил название Экстремальный УФ или EUV. Многие считали технологию EUV невозможной. EUV поглощается стеклом и даже воздухом, поэтому вместо использования линз, как раньше, для фокусировки лучей света понадобились бы зеркала в вакууме, а надежное производство EUV также было проблематичным.
Чтобы решить эту научную проблему, в 1990-х годах исследователи из нескольких лабораторий Министерства энергетики США (в частности, Ливермора, Беркли и Сандии) получили финансирование для проведения фундаментальных исследований технических препятствий. Результаты этих успешных усилий были распространены в рамках Соглашения о совместных исследованиях и разработках (CRADA, состояла из консорциума частных компаний и лабораторий, представленных как организация под названием EUV-LLC) в рамках государственно-частного партнерства, при этом изобретение и права полностью принадлежат правительству США, но лицензируются и распространяются с одобрения Министерства энергетики и Конгресса.[3].

Intel, Canon и Nikon (лидеры в этой области в то время), а также голландская компания ASML с приобретенной ей Silicon Valley Group (SVG)[4] запросили лицензию, однако Конгресс США отказал японским компаниям в необходимом разрешении, поскольку в то время они считались сильными техническими конкурентами и не должны извлекать выгоду из исследований, финансируемых налогоплательщиками, за счет американских компаний[5].

К 2018 году ASML удалось развернуть EUV-LLC IP, после нескольких десятилетий исследований при поддержке финансируемой Европой EUCLIDES (Extreme UV Concept Lithography Development System) и длительного постоянного партнера немецкого производителя оптики Zeiss и поставщика источников синхротронного света Oxford Instruments. Это привело к тому, что MIT Technology Review назвал ее «машиной, которая спасла Закон Мура»[6]. Первый прототип в 2006 году произвел одну пластину за 23 часа. По состоянию на 2022 год сканер производит до 200 пластин в час. В сканере используется оптика Zeiss, которую эта компания называет «самыми точными зеркалами в мире» и которая производится путем обнаружения дефектов и последующего сбивания отдельных молекул с помощью таких методов, как моделирование ионным лучом.[7]

ASML следует правилам экспортного контроля Нидерландов и до дальнейшего уведомления не будет иметь права отправлять машины в Китай.

В 2019 году На Международной конференции по электронным устройствам (IEDM) компания TSMC сообщила об использовании EUV для своих 5-нм чипов[8][9].

Маски[править | править код]

EUV-маски работают, отражая свет[10], что достигается за счет использования нескольких чередующихся слоев молибдена и кремния. Это отличается от обычных фотошаблонов, которые блокируют свет с помощью одного слоя хрома на кварцевой подложке. Маска EUV состоит из 40 чередующихся слоев кремния и молибдена[11]; этот мультислой отражает экстремальный ультрафиолетовый свет посредством дифракции Брэгга; коэффициент отражения сильно зависит от угла падения и длины волны, при этом более длинные волны отражаются больше ближе к нормальному падению, а более короткие волны отражаются больше дальше от нормального падения. Рисунок определяется поглощающим слоем на основе тантала поверх многослойного материала.[12] Мультислой может быть защищен тонким слоем рутения.[13][14]

Чистые фотомаски в основном производятся двумя компаниями: AGC и Hoya Corporation (обе Япония)[15]. Пустая фотомаска покрывается фоторезистом, который затем запекается (затвердевает) в печи, а затем подвергается воздействию лазерного света с использованием безмасочной литографии[en] с электронным лучом[16]. Экспонированный фоторезист проявляют (удаляют) и протравливают незащищенные участки. Затем удаляют оставшийся фоторезист. Маски проверяют, а затем ремонтируют с помощью электронного луча[17]. Травление должно выполняться на очень специфичную глубину, что затрудняет травление по сравнению с обычным изготовлением фотошаблонов[18].

Фотолитографическая машина[править | править код]

EUVL установка, Ливерморская национальная лаборатория

Инструмент EUV (фотолитографическая машина EUV) имеет управляемый лазером оловянный (Sn) плазменный источник света (спазер), отражающую оптику с многослойными зеркалами, содержащиеся в газообразной среде водорода[19]. Водород используется для удержания зеркала-коллектора EUV в качестве первого зеркала, собирающего излучение, испускаемого в большом диапазоне углов (~ 2π ср) из Sn-плазмы, в источнике свободным от отложений олова[20]. В частности, водородный буферный газ в камере или сосуде источника EUV замедляет или, возможно, отталкивает ионы Sn и осколки Sn, движущиеся к коллектору EUV (защита коллектора), и обеспечивает химическую реакцию Sn (s) + 4H (g) = SnH4 (g) для удаления отложений Sn на коллекторе в виде газа SnH4 (восстановление отражательной способности коллектора).

EUVL — это значительный отход от стандарта глубокой ультрафиолетовой литографии. Вся материя поглощает EUV[en]-излучение. Следовательно, для EUV-литографии требуется вакуум. Все оптические элементы, включая фотомаску, должны использоваться бездефектные многослойные молибден/кремниевые (Mo/Si) слои, состоящие из 50 бислоев Mo/Si, теоретический предел отражения которых при 13,5 нм составляет ~75 %[21], отражающие свет за счет межслойной интерференции волн; любое из этих зеркал поглощает около 30 % падающего света, поэтому важно контролировать температуру зеркала.

Современные системы EUVL содержат как минимум два конденсора многослойных зеркал, шесть проекционных многослойных зеркал и многослойный объект (маску). Поскольку зеркала поглощают 96 % света EUV, идеальный источник EUV должен быть намного ярче, чем его предшественники. Разработка источника EUV была сосредоточена на плазме, генерируемой лазерными или разрядными импульсами. Зеркало, отвечающее за сбор света, подвергается прямому воздействию плазмы и уязвимо для повреждения высокоэнергетическими [[ион]ами][22][23] и другим мусором[24], таким как капли олова, которые требуют ежегодной замены дорогостоящего собирающего зеркала[25].

Требования к ресурсам[править | править код]

Тип 200 Вт выход EUV 90 Вт выход
ArF иммерсионное двойное паттернирование[en]
Электрическая мощность (кВт) 532 49
Холодная вода (л/мин) 1600 75
Газопроводы 6 3

Требуемые вспомогательные ресурсы значительно больше для EUV, по сравнению с 193-нм иммерсией, даже при двух экспозициях с использованием последнего. Компания Hynix сообщила на симпозиуме EUV 2009 года, что эффективность инструмента составляла ~ 0,02 % для EUV, т. е. чтобы получить 200 Вт в промежуточном фокусе для 100 пластин в час, потребуется 1 мегаватт входной мощности по сравнению с 165 киловаттами для иммерсионного сканера ArF, и что даже при той же пропускной способности площадь, занимаемая EUV-сканером, была примерно в 3 раза больше, чем у иммерсионного сканера ArF, что приводило к потере производительности.[26]. Кроме того, для удержания ионных обломков может потребоваться сверхпроводящий магнит[27].

Типичный инструмент EUV весит 180 тонн.[28]

Сравнение энергопотребления инструмента DUV и EUV (измерено в 2020 г.)[29]: Инструменты EUV потребляют как минимум в 10 раз больше энергии, чем иммерсионные инструменты.

Платформа DUV Immersion NXT:2050i EUV NXE:3400C
(30 мДж/см2)
Потребление энергии 0,13 МВт 1,31 МВт
Пропускная способность 296 пластин в час 136 пластин в час
Энергоэффективность на проход пластины 0,45 кВт⋅ч 9,64 кВт⋅ч
Пластин в год 2 584 200 1 191 360

Краткое описание основных функций[править | править код]

В следующей таблице приведены основные различия между разрабатываемыми системами EUV и иммерсионной литографией ArF, которые сегодня широко используются в производстве:

EUV ArF иммерсия
Длина волны Полоса пропускания 2% по полуширине около 13,5 нм 193 нм
Энергия фотонов 91...93 эВ 6,4 эВ
Источник света CO2 лазер + Sn плазма ArF эксимерный лазер
Полоса пропускания длины волны 5,9%[30] <0,16%[31]
Вторичные электроны, образующиеся при поглощении Да Нет
Оптика Отражающие многослойные материалы (~40% поглощения на зеркало) Пропускающие линзы
Числовая апертура (NA) 0,25: NXE:3100
0,33: NXE:33x0 и NXE:3400B
Высокая NA (0,55): в разработке
1,20
1,35
Спецификация разрешения k1 = разрешение / (длина волны / числовая апертура) NXE:3100:B 27 нм (k1=0.50)
NXE:3300B: 22 нм (k1=0.54),
NXEI3100BJ 18 нм (k1=0.44) с внеосевой засветкой
NXE:3350B: 16 нм (k1=0.39)
NXE:3400B/C, NXE:3600D: 13 нм (k1=0.32)
38 нм (k1=0.27)
Вспышка 4%[32] <1%[33]
Засветка Центральный угол 6 ° от оси на сетке Осевая
Размер поля 0.25 и 0.33 NA: 26 мм × 33 мм
Большая NA: 26 мм × 16.5 мм[34]
26 мм × 33 мм
Увеличение 0.25 и 0.33 NA: 4X изоморфное
Большая NA: 4X/8X анаморфное
4X
Окружение Вакуумный водород Воздух (открытая область пластины под водой)
Контроль аберраций (включая тепловые) Нет Да, например: FlexWave[35]
Щель засветки Дугообразная[36] Прямоугольная[37]
Паттерн Шаблон на светоотражающем мультислое Шаблон на пропускающей подложке
Смещение шаблона пластины с вертикальным положением сетки Да (из-за отражения); ~1:40[38] Нет
Пленка защиты маски Доступно Да
Пластин в день (зависит от инструмента и мощности) 1500 6000
# инструментов в поле > 90 (все модели инструментов с числовой апертурой 0,33) > 400

Различные степени разрешения инструментов с числовой апертурой 0,33 обусловлены различными вариантами засветки. Несмотря на потенциал оптики для достижения разрешения менее 20 нм, вторичные электроны в резисте практически ограничивают разрешение примерно до 20 нм (подробнее об этом ниже).[39]

Источники света[править | править код]

В качестве мощных источников света в ЭУФ диапазоне могут использоваться синхротроны или плазма (спазер), разогреваемая импульсом лазера или электрическим разрядом.

Мощность источника света, пропускная способность и время безотказной работы[править | править код]

Пропускная способность EUV как функция дозы. Производительность пластины прибора EUV на самом деле является функцией дозы облучения при фиксированной мощности источника.

Нейтральные атомы или конденсированное вещество не могут излучать EUV-излучение. Ионизация должна предшествовать EUV-излучению вещества. Термическое производство многозарядных положительных ионов возможно только в горячей плотной плазме, которая сама сильно поглощает EUV.[40] По состоянию на 2016 год установленным источником EUV-света является оловянная плазма с лазерным импульсом.[41] Ионы поглощают излучаемый ими EUV-свет и легко нейтрализуются электронами в плазме до более низких зарядовых состояний, которые производят свет в основном на других, непригодных для использования длинах волн, что приводит к значительному снижению эффективности генерации света для литографии при более высокой плотности мощности плазмы.

Пропускная способность привязана к мощности источника, разделенной на дозу.[42] Более высокая доза требует более медленного движения столика (более низкой производительности), если мощность импульса невозможно увеличить.

Отражательная способность коллектора EUV ухудшается на ~0,1–0,3% на миллиард импульсов 50 кГц (~10% за ~2 недели), что приводит к потере времени безотказной работы и пропускной способности, при этом даже для первых нескольких миллиардов импульсов (в течение одного дня) все еще остается 20 % (+/-10%) колебаний.[43] Это может быть связано с накоплением упомянутых выше остатков олова, которые не полностью удалены. С другой стороны, традиционные инструменты иммерсионной литографии для нанесения двойного рисунка обеспечивают стабильный результат в течение года.[44]

В последнее время осветитель NXE:3400B имеет меньший коэффициент заполнения зрачка (pupil fill ratio, PFR) до 20% без потерь передачи.[45] PFR максимален и превышает 0,2 при шаге металла 45 нм.[46]

Из-за использования EUV-зеркал, которые также поглощают EUV-свет, на пластине в конечном итоге попадает только небольшая часть исходного света. Для осветительной оптики используются 4 зеркала, для проекционной оптики — 6 зеркал. Маска EUV или прицельная сетка сами по себе являются дополнительным зеркалом. При 11 отражениях на пластине доступно только ~ 2% света источника EUV.[47]

Время безотказной работы инструмента[править | править код]

Источник света EUV ограничивает время безотказной работы инструмента, помимо производительности. Например, за двухнедельный период может быть запланировано более семи часов простоя, тогда как общее фактическое время простоя, включая незапланированные проблемы, может легко превысить день.[47] Ошибка дозы более 2% приводит к простою инструмента.[47]

В период 2019–2022 годов производительность экспонирования пластин постепенно увеличивалась примерно до 1000 пластин в день (на систему),[48][49] что указывает на значительное время простоя, при этом работая >120 WPH на ряде многошаблонных EUV слоев, в среднем для пластины EUV.

Сравнение с другими источниками света для литографии[править | править код]

EUV (10–121 нм) — это полоса длиннее рентгеновских лучей (0,1–10 нм) и короче линии Лайман-альфа водорода.

В то время как современные эксимерные ArF-лазеры с длиной волны 193 нм обеспечивают интенсивность 200 Вт/см2,[50] лазеры для создания EUV-генерирующей плазмы должны быть гораздо более интенсивными, порядка 1011 Вт/см2.[51] Современный источник света с иммерсионной литографией ArF мощностью 120 Вт требует не более 40 кВт[52], в то время как источники EUV рассчитаны на мощность, превышающую 40 кВт.[53]

Целевая мощность для EUV-литографии составляет не менее 250 Вт, тогда как для других традиционных источников литографии она намного меньше. Например, источники света для иммерсионной литографии рассчитаны на 90 Вт, сухие источники ArF - на 45 Вт и источники KrF - на 40 Вт. Ожидается, что для источников EUV с высокой числовой апертурой потребуется не менее 500 Вт.[47]

Оптика для EUVL[править | править код]

В отличие от используемой ныне литографии на дальнем ультрафиолете (на эксимерных лазерах и с проведением процесса в жидкости), EUV требует использования вакуума[54]. В качестве оптики используются не линзы, а многослойные зеркала с отражением на основе межслойной интерференции[54] (рентгеновское зеркало). Маска (фотошаблон) также выполняется в виде отражающего элемента, а не просвечивающего, как в настоящее время. При каждом отражении зеркалом и маской поглощается значительная часть энергии луча, около 1/3. При использовании 7 зеркал будет поглощено около 94 % мощности луча, а значит EUVL требует мощных источников — рентгеновских лазеров.

Оптические проблемы, специфичные для EUV[править | править код]

Светоотражающая оптика[править | править код]

Разница фокуса EUV H-V. Горизонтальные (H) и вертикальные (V) элементы рисунка маски (сетки) фокусируются по-разному в оптических системах EUV. Числовая апертура (NA) также имеет значение.

Фундаментальным аспектом инструментов EUVL, обусловленным использованием отражающей оптики, является внеосевое освещение (под углом 6 градусов, в разном направлении и в разных положениях внутри осветительной щели)[55] на многослойной маске (сетке). Это приводит к эффектам затенения, проявляющимися в асимметрии дифракционной картины, что различными способами ухудшает точность изображения, как описано ниже.[56][57] Например, одна сторона (за тенью) будет выглядеть ярче, чем другая (внутри тени).[58]

Поведение световых лучей внутри плоскости отражения (влияющих на горизонтальные линии) отличается от поведения световых лучей вне плоскости отражения (влияющих на вертикальные линии).[59] Наиболее заметно то, что горизонтальные и вертикальные линии одинакового размера на маске EUV напечатаны разного размера на пластине.

Разница CD между 2-мя полосами и фокусом. Разница между шириной двух соседних горизонтальных линий варьируется в зависимости от фокуса.

Сочетание внеосевой асимметрии и эффекта затенения маски приводит к фундаментальной неспособности двух идентичных деталей, даже находящихся в непосредственной близости, одновременно находиться в фокусе.[60] Одной из ключевых проблем EUVL является асимметрия между верхней и нижней линией пары горизонтальных линий (так называемая "двухбарность"). Некоторыми способами частичной компенсации являются использование вспомогательных функций, а также асимметричное освещение.[61]

Распространение двулинейногоого случая на решетку, состоящую из множества горизонтальных линий, показывает аналогичную чувствительность к дефокусировке.[62] Это проявляется в разнице критического размера (critical dimension, CD) между линиями верхнего и нижнего края набора из 11 горизонтальных линий.

Поляризация путем отражения также приводит к частичной поляризации EUV-света, что способствует отображению линий, перпендикулярных плоскости отражений.[63][64]

Смещение рисунка от дефокусировки (нетелецентричность)[править | править код]

Из-за разного фазового сдвига отражения от маски EUV разные углы освещения приводят к разным сдвигам. Это приводит к уменьшению контрастности изображения, также известному как замирание.

Поглотитель маски EUV из-за частичного пропускания генерирует разность фаз между 0-м и 1-м порядками дифракции линейно-пространственной структуры, что приводит к сдвигам изображения (при заданном угле освещения), а также к изменениям пиковой интенсивности (что приводит к увеличению ширины линии)[65][66], которые дополнительно усиливаются за счет расфокусировки.[67][68] В конечном итоге это приводит к различным положениям наилучшей фокусировки для разных шагов и разных углов освещения. Как правило, сдвиг изображения уравновешивается за счет спаривания точек источника освещения (каждая находится на противоположных сторонах оптической оси). Однако отдельные изображения накладываются, и результирующий контраст изображения ухудшается, когда сдвиги отдельных исходных изображений достаточно велики. Разность фаз в конечном итоге также определяет лучшее положение фокусировки.

Многослойность также отвечает за сдвиг изображения из-за фазовых сдвигов от дифрагированного света внутри самого многослоя.[69] Это неизбежно из-за того, что свет дважды проходит через шаблон маски.[70]

Использование отражения приводит к тому, что положение экспозиции пластины становится чрезвычайно чувствительным к плоскостности сетки и прицельной сетке. Поэтому необходимо поддерживать чистоту прицельной сетки. Небольшие (порядка мрад) отклонения плоскостности маски на локальном наклоне в сочетании с дефокусировкой пластины.[71] Что еще более важно, было обнаружено, что дефокусировка маски приводит к большим ошибкам наложения.[72][73] В частности, для узлового 1 слоя металла толщиной 10 нм (включая 48 нм, 64 нм, 70 нм, изолированные линии и линии электропередачи), неисправимая ошибка размещения рисунка составляла 1 нм для смещения z-положения маски на 40 нм.[74] Это глобальный сдвиг шаблона слоя относительно ранее определенных слоев. Однако элементы в разных местах также будут смещаться по-разному из-за различных локальных отклонений от плоскостности маски, например, из-за дефектов, скрытых под многослойностью. Можно подсчитать, что вклад неплоскостности маски в ошибку наложения примерно в 1/40 раза превышает изменение толщины от пика к впадине.[75] При размахе толщины заготовки 50 нм возможна ошибка размещения изображения ~1,25 нм. Также вносят свой вклад изменения толщины заготовки до 80 нм, что приводит к сдвигу изображения до 2 нм.[75]

Внеосевое освещение сетки также является причиной нетелецентричности при расфокусировке пластины, которая потребляет большую часть бюджета наложения 1,4 нм сканера NXE:3400 EUV[76] даже для таких правил проектирования, как шаг 100 нм.[77] Наихудшая неисправимая ошибка размещения шаблона для линии 24 нм составляла около 1,1 нм относительно соседней линии электропередачи 72 нм на сдвиг положения фокуса пластины на 80 нм в положении одной щели; с учетом характеристик поперек щели наибольшая ошибка составляет более 1,5 нм в окне дефокусировки пластины[74] В 2017 году актиничный микроскоп, имитирующий систему литографии EUV 0,33 NA с освещением квазара 45 0,2/0,9, показал, что массив контактов с шагом 80 нм сместился от -0,6 до 1,0 нм, тогда как массив контактов с шагом 56 нм сместился на -1,7 до 1,0 нм относительно горизонтальной опорной линии в пределах окна дефокусировки +/- 50 нм.[78]

Расфокусировка пластины также приводит к ошибкам размещения изображения из-за отклонений от плоскостности локальной маски. Если локальный наклон обозначен углом α, изображение проецируется как сдвинутое в инструменте проецирования 4× на 8α × (DOF/2) = 4αDOF, где DOF – это глубина фокуса. .[79] Для глубины фокуса 100 нм небольшое локальное отклонение от плоскостности в 2,5 мрад (0,14°) может привести к сдвигу диаграммы направленности на 1 нм.

Моделирование, а также эксперименты показали, что дисбаланс зрачков в EUV-литографии может привести к ошибкам размещения рисунка, зависящим от шага. Ситуация особенно сложна для логических устройств, где одновременно предъявляются критические требования к нескольким шагам.[80] Эту проблему идеально решает многократная экспозиция с индивидуально подобранным освещением.[81][82]

EUV оборудование[править | править код]

Первые экспериментальные установки совмещения и экспонирования (степперы) для EUVL были созданы в 2000 году в Ливерморской национальной лаборатории.

Сканеры EUV теперь востребованы не только для выпуска чипов с нормами 7 и 5 нм, но также для производства памяти[83].

Степперы EUV от ASML[84]:

Год Название EUV Tool Наилучшее разрешение Пропускная способность Доза, Мощность источника
2006 ADT 32 нм 4 WPH (пластин в час) 5 мДж/см², ~8ВТ
2010 NXE:3100 27 нм 60 WPH 10 мДж/см², >100Вт
2012 NXE:3300B 22 нм 125 WPH 15 мДж/см², >250Вт
2013 NXE:3300C зависит от диффузионных свойств фоторезиста 150 WPH 15 мДж/см², >350Вт

См. также[править | править код]

Примечания[править | править код]

  1. Субмикронная УФ-литография появится нескоро. Дата обращения: 14 ноября 2010. Архивировано 22 октября 2012 года.
  2. Экстремальная ультрафиолетовая литография — будущее наноэлектроники // Журнал «Нано- и микросистемная техника». Автор С. В. Гапонов, чл.-корр. РАН, ИФМ РАН , 7.04.2008 / Архивная копия от 24 апреля 2017 на Wayback Machine
  3. Making EUV: From lab to fab. Дата обращения: 4 июня 2023. Архивировано 6 июня 2023 года.
  4. Our history - Supplying the semiconductor industry. Дата обращения: 4 июня 2023. Архивировано 28 июля 2010 года.
  5. "U.S. gives ok to ASML on EUV effort". EE Times. 1999-02-24. Архивировано из оригинала 30 января 2023. Дата обращения: 17 мая 2023.
  6. Inside the machine that saved Moore's Law. Дата обращения: 4 июня 2023. Архивировано 7 июня 2023 года.
  7. Sascha Migura. Optics for EUV Lithography. euvlitho.com (2018). Дата обращения: 17 мая 2023. Архивировано 25 декабря 2022 года.
  8. G. Yeap et al., 36.7,IEDM 2019.
  9. O. Adan and K. Houchens, Proc. SPIE 10959, 1095904 (2019).
  10. Archived copy. Дата обращения: 23 июня 2019. Архивировано 2 июня 2017 года.
  11. Montcalm, C. (March 10, 1998). Multilayer reflective coatings for extreme-ultraviolet lithography. 23. SPIE annual international symposium on microlithography conference, Santa Clara, CA (United States), 22-27 Feb 1998. OSTI 310916.
  12. Next EUV Issue: Mask 3D Effects (25 апреля 2018). Дата обращения: 12 июля 2023. Архивировано 23 апреля 2023 года.
  13. Krome, Thorsten. EUV capping layer integrity // Photomask Japan 2018: XXV Symposium on Photomask and Next-Generation Lithography Mask Technology / Thorsten Krome, Jonas Schmidt, Pavel Nesládek. — 2018. — P. 8. — ISBN 978-1-5106-2201-2. — doi:10.1117/12.2324670.
  14. Optimized capping layers for EUV multilayers. Дата обращения: 12 июля 2023. Архивировано 18 апреля 2023 года.
  15. Semiconductor Engineering - EUV Mask Blank Battle Brewing (15 ноября 2018). Дата обращения: 12 июля 2023. Архивировано 22 апреля 2023 года.
  16. Matsumoto, Hiroshi; Yamaguchi, Keisuke; Kimura, Hayato; Nakayamada, Noriaki Multi-beam mask writer, MBM-2000 175–180. SPIE (23 августа 2021). doi:10.1117/12.2604378.
  17. Waiblinger, M.; Kornilov, K.; Hofmann, T.; Edinger, K. e-beam induced EUV photomask repair: a perfect match 243–250. SPIE (15 мая 2010). doi:10.1117/12.863542.
  18. Centura Tetra EUV Advanced Reticle Etch | Applied Materials. www.appliedmaterials.com. Дата обращения: 12 июля 2023. Архивировано 17 октября 2021 года.
  19. Tomie, Toshihisa (2012-05-21). "Tin laser-produced plasma as the light source for extreme ultraviolet lithography high-volume manufacturing: history, ideal plasma, present status, and prospects". Journal of Micro/Nanolithography, MEMS, and MOEMS (англ.). 11 (2): 021109—1. doi:10.1117/1.JMM.11.2.021109. ISSN 1932-5150.
  20. Elg, Daniel T.; Sporre, John R.; Panici, Gianluca A.; Srivastava, Shailendra N.; Ruzic, David N. (2016). "In situ collector cleaning and extreme ultraviolet reflectivity restoration by hydrogen plasma for extreme ultraviolet sources" (PDF). Journal of Vacuum Science & Technology A. 34 (021305). doi:10.1116/1.4942456. Архивировано (PDF) из оригинала 10 июня 2023. Дата обращения: 12 июля 2023.
  21. Bosgra, Jeroen; Zoethout, Erwin; van der Eerden, Ad M. J.; Verhoeven, Jan; van de Kruijs, Robbert W. E.; Yakshin, Andrey E.; Bijkerk, Fred (2012). "Structural properties of subnanometer thick Y layers in extreme ultraviolet multilayer mirrors". Applied Optics. 51 (36): 8541–8548. doi:10.1364/AO.51.008541. Архивировано из оригинала 12 июля 2023. Дата обращения: 12 июля 2023.
  22. H. Komori et al., Proc. SPIE 5374, pp. 839–846 (2004).
  23. B. A. M. Hansson et al., Proc. SPIE 4688, pp. 102–109 (2002).
  24. S. N. Srivastava et al., J. Appl. Phys. 102, 023301 (2007).
  25. StackPath. www.laserfocusworld.com. Дата обращения: 12 июля 2023. Архивировано 20 мая 2023 года.
  26. H. S. Kim, Future of Memory Devices and EUV Lithography, 2009 EUV Symposium. Дата обращения: 25 октября 2012. Архивировано 10 июля 2015 года.
  27. H. Mizoguchi, "Laser Produced Plasma EUV Light Source Gigaphoton Update," EUVL Source Workshop, May 12, 2008.
  28. Cookies op ed.nl | ed.nl. Дата обращения: 12 июля 2023. Архивировано 21 апреля 2023 года.
  29. ASML 2020 Annual Report, p.68.
  30. Gigaphoton. Дата обращения: 17 мая 2023. Архивировано 9 июля 2020 года.
  31. Cymer SPIE 2018. Дата обращения: 12 июля 2023. Архивировано 18 апреля 2023 года.
  32. Zeiss 2018 EUVL Workshop update. Дата обращения: 4 июня 2023. Архивировано 25 декабря 2022 года.
  33. SPIE 2007 paper. Дата обращения: 28 июля 2018. Архивировано 12 августа 2017 года.
  34. ASML, 2016 EUVL Workshop, p.14. Дата обращения: 12 июля 2023. Архивировано 17 апреля 2023 года.
  35. Y. Wang and Y. Liu, Proc. SPIE 9283, 928314 (2014).
  36. R. Capelli et al., Proc. SPIE 9231, 923109 (2014). Дата обращения: 17 мая 2023. Архивировано из оригинала 10 августа 2017 года.
  37. M. van den Brink et al., Proc. SPIE 2726 (1996). Дата обращения: 17 июля 2018. Архивировано 9 августа 2017 года.
  38. Schmoeller, Thomas; Klimpel, T; Kim, I; F. Lorusso, G; Myers, A; Jonckheere, Rik; Goethals, Anne-Marie; Ronse, K (14 March 2008). "EUV pattern shift compensation strategies - art. no. 69211B". Proceedings of SPIE. 6921. doi:10.1117/12.772640. S2CID 121926142 – via ResearchGate.
  39. A. N. Broers, IEEE Trans. Elec. Dev. 28, 1268 (1981).
  40. Tao, Y.; et al. (2005). "Characterization of density profile of laser-produced Sn plasma for 13.5 nm extreme ultraviolet source". Appl. Phys. Lett. 86 (20): 201501. Bibcode:2005ApPhL..86t1501T. doi:10.1063/1.1931825.
  41. Abstract 107 Last Page. www.nifs.ac.jp. Дата обращения: 22 ноября 2023. Архивировано 22 апреля 2023 года.
  42. I. Fomenkov et al., Adv. Opt. Tech. 6, 173 (2017).
  43. I. V. Fomenkov, Proc. SPIE 10957, 1095719 (2019).
  44. R. Rokitski et al., Proc. SPIE 7640, 76401Q (2010).
  45. M. van de Kerkhof et al., Proc. SPIE 10143, 101430D (2017).
  46. Y. Chen et al., J.Vac. Sci. Tech. B35, 06G601 (2017).
  47. 1 2 3 4 H. Mizoguchi et al., 2017 EUV-FEL Workshop, p. 4. Дата обращения: 22 ноября 2023. Архивировано 12 мая 2023 года.
  48. Оценка выхода пластин EUV: 2019-2022. semiwiki.com. Дата обращения: 30 ноября 2023. Архивировано 26 июня 2023 года.
  49. C. Smeets et al., Proc. SPIE 12494, 1249406 (2023).
  50. Paetzel, R.; et al. (2003). Yen, Anthony (ed.). "Excimer lasers for superhigh NA 193-nm lithography". Proc. SPIE. Optical Microlithography XVI. 5040: 1665. Bibcode:2003SPIE.5040.1665P. doi:10.1117/12.485344. S2CID 18953813.
  51. Harilal, S. S.; et al. (2006). "Spectral control of emissions from tin doped targets for extreme ultraviolet lithography". J. Phys. D. 39 (3): 484—487. Bibcode:2006JPhD...39..484H. doi:10.1088/0022-3727/39/3/010. S2CID 34621555.
  52. T. Asayama et al., Proc. SPIE vol. 8683, 86831G (2013).
  53. ASML update Nov. 2013, Dublin. Дата обращения: 9 декабря 2023. Архивировано 27 июня 2023 года.
  54. 1 2 Литография на длине волны 13 нм Архивная копия от 5 октября 2016 на Wayback Machine. член-корр. РАН С. В. Гапонов, Вестник РАН, т. 73, № 5, с. 392 (2003). «…более коротковолновое излучение сильно поглощается всеми веществами. Можно думать только об использовании зеркальной оптики, размещенной в вакууме.»
  55. L. Peters, "Double Patterning Leads Race for 32 nm", Semiconductor International, October 18, 2007.
  56. M. Sugawara et al., J. Vac. Sci. Tech. B 21, 2701 (2003).
  57. Что такое затенение в EUV-литографии? Дата обращения: 9 декабря 2023. Архивировано 11 мая 2023 года.
  58. Yunfei Deng; Bruno M. La Fontaine; Harry J. Levinson; Andrew R. Neureuther (2003). "Rigorous EM simulation of the influence of the structure of mask patterns on EUVL imaging". In Roxann L. Engelstad (ed.). Emerging Lithographic Technologies VII. Vol. 5037. doi:10.1117/12.484986. S2CID 137035695.
  59. G. McIntyre et al., Proc. SPIE vol. 7271, 72711C (2009).
  60. T. Last et al., Proc. SPIE 9985, 99850W (2016).
  61. T. Last et al., Proc. SPIE vol. 10143, 1014311 (2017).
  62. W. Gao et al., Proc. SPIE vol. 10143, 101430I (2017).
  63. Поляризация путем отражения в системах EUV-литографии. Дата обращения: 9 декабря 2023. Архивировано 13 мая 2023 года.
  64. [https://iopscience.iop.org/article/10.35848/1347-4065/ac49fa/pdf High-NA EUV lithography: current status and outlook for the future]. Дата обращения: 10 декабря 2023. Архивировано 10 декабря 2023 года.
  65. The Uncertain Phase Shifts of EUV Masks. Дата обращения: 10 декабря 2023. Архивировано 10 декабря 2023 года.
  66. Pattern Shifts Induced by Dipole-Illuminated EUV Masks. Дата обращения: 10 декабря 2023. Архивировано 10 декабря 2023 года.
  67. M. Burkhardt et al., Proc. SPIE 10957, 1095710 (2019).
  68. A. Erdmann, P. Evanschitzky, T. Fuhrer, Proc. SPIE 7271, 72711E (2009).
  69. Модель двойной дифракции EUV-масок. Дата обращения: 10 декабря 2023. Архивировано 27 апреля 2023 года.
  70. Double Diffraction in EUV Masks: Seeing Through The Illusion of Symmetry. Дата обращения: 10 декабря 2023. Архивировано 10 декабря 2023 года.
  71. EUV Mask Flatness Requirements. Дата обращения: 26 июня 2015. Архивировано 26 июня 2015 года.
  72. T. Schmoeller et al., Proc. SPIE vol. 6921, 69211B (2008).
  73. P. Liu et al., Proc. SPIE vol. 8679, 86790W (2013).
  74. 1 2 M. Сугавара и др., Учеб. SPIE 9048, 90480V (2014).
  75. 1 2 X. Чэнь и др., Учеб. SPIE 10143, 101431F (2017).
  76. ASML: Products - TWINSCAN NXE:3400B. asml.com. Дата обращения: 2 июля 2017. Архивировано 15 декабря 2018 года.
  77. X. Liu et al., Proc. SPIE vol. 9048, 90480Q (2014).
  78. O. Wood et al., Proc. SPIE 10450, 1045008 (2017).
  79. S. Yoshitake et al., EUV Mask Flatness Requirements: E-beam Mask Writer Supplier Perspective.
  80. A. Shchegrov et al., Proc. SPIE 11325, 113251P (2020).
  81. J-H. Franke et al., J. Micro/Nanopatterning, Materials, and Metrology 21, 030501 (2022).
  82. Multiple Monopole Exposures: The Correct Way to Tame Aberrations in EUV Lithography? Дата обращения: 10 декабря 2023. Архивировано 10 декабря 2023 года.
  83. Рубеж пройден: спрос на сканеры EUV остаётся высоким Архивная копия от 24 января 2020 на Wayback Machine // 23.01.2020 - "В 2019 году заказчикам было поставлено 26 сканеров EUV, а на 2020 год собрано 35 заказов на эти машины."
  84. Источник: ASML, International Workshop on EUVL, Maui 2010

Литература[править | править код]

Ссылки[править | править код]